home *** CD-ROM | disk | FTP | other *** search
Text File | 1992-06-18 | 447 b | 35 lines | [TEXT/MPS ] |
- module video(d,vras,cas,ma,w);
-
- inout [31: 0]d;
- reg [31: 0]dd;
- assign d = dd;
-
- input vras, cas, w;
-
- input [ 9: 0]ma;
-
- reg [19: 0]addr;
-
- reg [31: 0]file;
-
- always @(negedge vras) begin
- addr[19:10] = ma;
- end
-
- always @(posedge vras)
- dd = 32'bz;
-
- always @(negedge cas)
- begin
- addr[9:0] = ma;
- if (w) begin
- $display("%h %h", addr, d);
- end
- end
-
- // initial begin
- // file = $fopen("sim.out");
- // end
-
- endmodule
-